发 帖  
RK3568平台,进入烧录模式,部分电脑提示无法识别,无法烧录

RK3568进入了烧录模式,Win10,Win11的电脑提示无法识别的USB设备,无法烧录,我自己win7系统的一直可以识别;或者Win10烧录成功后,第二次就提示无法烧录了,请问可能是什 ...

32768hz晶振+4060实现1hz时钟

请问一下大佬们,这个怎么不起振呢,那个黄色的点具体是什么意思,一开始还没有黄色的点,后面多点了了几次仿真就有这个黄色的点了 ...

创龙教仪基于瑞芯微3568的ARM Cortex A-55教学实验箱 适用于人工智能 传感器 物联网等领域

适用课程Cortex-A55 ARM嵌入式实验箱主要用于《ARM 系统开发》、《ARM 应用开发》《物联网通信技术》、《嵌入式系统设计》、《移动互联网技术》、《无线传感器网络》、《 ...

CS32L010 Deepsleep 模式下,保留 GPIO/AWK 唤醒,低功耗电流是多少?

CS32L010 Deepsleep 模式下,保留 GPIO/AWK 唤醒,低功耗电流是多少?答:        大概是1.0uA

【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第一章)控制 LED 灯实验例程

Step4:选择 RTL project,单击 Next​适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版, ...

星际飞船驾驶舱模拟器、创意数字时钟、行空板智能骑行气象助手

星际飞船驾驶舱模拟器这个项目是为所有太空游戏爱好者打造的自制星际飞船驾驶舱模拟器,让你在家也能体验到驾驶星际飞船的快感!项目使用了多种开源硬件和软件,包括Ar ...

国产车规芯片发展的怎么样了,有用过的来说说吗?

刚看了一个最能打的国产芯榜单,找到一些国产车规芯片,看看参数介绍感觉还不错,大家有用过的或了解的吗?国产车规芯片发展处于什么水平?用过的说说呗 ...

I.MX6ULL-飞凌 ElfBoard ELF1板卡- 移植zbar的方法

| 1、下载Zbar源码下载地址:http://zbar.sourceforge.net/download.html2、交叉编译ZBar将zbar-0.10.tar.bz2 源码拷贝到ubuntu环境中并进行解压:elf@ubuntu:~/work$tar ...

全志R128 SDK HAL 模块开发指南——CCU

CCU介绍 RTOS 中CCU 驱动的接口及使用方法,为 CCU 的使用者提供参考。模块介绍CCU 驱动主要实现设备驱动的底层细节,并为上层提供一套标准的API 接口以供使用。模块配 ...

输出一个PWM波,经过三极管后,会改变波形哪些方面?

输出一个PWM波,经过三极管后,会改变波形哪些方面

ST25R3916能否对ISO15693的标签芯片进行分区域写密码?

ST25R3916能否对ISO15693恩智浦的标签芯片进行分区域写密码?

推荐一款设备或者上位机软件

现在要看一个485通信的数据传输波形,因为要查看的时间范围较长,而且要根据传输的电平查看其具体协议是什么,推荐一款能够较长时间查看传输信号的设备,示波器查看的时间 ...

AD采样和基准电压的关系

在嵌入式芯片AD采样的时候,需要根据基准电压确定采样值和显示值反映实际的数值关系;1、基准电压是3V,2^12次方4096级采样,也就是0-3V对应0-4095,假设此时软件依旧按照 ...

做了一个 log 日志触发器控制示波器

日常工作中,经常遇到需要在软件出错时抓到当时的波形,靠人看太费劲,就搞了一个触发器,可以直接读取串口日志,并在检测到关键字时控制一个 GPIO 信号来触发示波器。大大 ...

【米尔-全志T113-i开发板试用】米尔-全志T113-i开发板与modbus设备的连接和操作

继前面开发环境设置和libmodbus库的移植后,本文主要介绍通过米尔-全志T113-i开发板实现与modbus设备的连接和操作。一、设备简介刚好手头有个modbus温度传感设备。各种 ...

FPGA与LoRa模块的串口通信问题

自己画的FPGA的PCB板,FPGA与LoRa模块是通过串口相连的,但是传输不了数据。FPGA和串口助手可以通信,串口助手和LoRa模块也可以通信,但是PCB板和LoRa通信不了,用signalt ...

我有一个电路需要设计防反接电路,请高手指点

我有一个电路需要设计成防反接电路,电池正极和马达正极必需相连,利用nmos或者是pmos防反接设计,请高手指点连接法,谢谢 ...

运放电压跟随器前后阻值选择

如图所示:运放前极电压经过二次滤波,需要输出8V,带载能力500mA,这里的Q32和R127以及R128~R131参数该如何考虑?求解?

想做一个交变电磁装置,线圈缠绕在水管上,做磁化水实验,求大神指点一下设备!

由于小弟对电器方面的确不通,看了相关论文感觉电路设计以及信号设计这方面不太懂,所以想请教一下,如下图的设备有没有现成卖的,或者可以去哪里定制?要求就是,交流电源 ...

FPGA在深度学习应用中或将取代GPU

现场可编程门阵列 (FPGA) 解决了 GPU 在运行深度学习模型时面临的许多问题        在过去的十年里,人工智能的再一次兴起使显卡行业受益 ...

关于AD620单电源使用的输出电压异常问题

这是用AD620搭的一个单电源的供电的电路,目前输出端理论值和仿真出来的电压应该为2.83V,实际测试只有1.95V,有没有大神能给解释一下为什么? ...

请问怎么控制波形图表在条件结构中只采集三秒的数据?

我需要的是这个数组值每次变化就只给波形图表传递三秒时间,目前想到的是通过已用时间,但是我不知道每次数组值变化的话该怎么重置这个已用时间,求大佬们指点,或者有没有 ...

全志R128 SDK HAL 模块开发指南——Crypto Engine

Crypto Engine模块介绍CE 模块主要支持对称算法、非对称算法、摘要算法进行数据的加密和解密功能。CE 模块主要支持的算法如下:AES 算法 ECB/CBC/CTR/CTS/OFB/CFB/CBC- ...

开关电源14种拓扑计算公式大汇总,电源工程师赶紧收藏备用!

1、Buck 变换器的功率器件设计公式(1):Buck 变换器的电路图:(2):Buck 变换器的主要稳态规格:(3):功率器件的稳态应力:-- 有源开关 S:-- 无源开关 D: ...

开关电源6个电路设计实例分析,一看就懂!

应用实例(1)一种简单的三段式铅酸电池充电器控制电路本PCB文件是由上图原理(没有继电器电路)设计的12V/4A简单的三段式充电器。应用实例(2)简单的单颗TL431限流 ...

紫光的FPGA哪些系列支持高速接口?

紫光的FPGA哪些系列支持高速接口?相关接口有哪些免费的IP可以使用呢?性能怎么样?

关于超声波水表的单片机原理图

大佬们求解~越详细越好,小白真的看不懂这个原理图啊!!

【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第二章)​LED 流水灯实验例程

适用于板卡型号:紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! ...

深度长文:STM32 单片机如何实现软件控制硬件

本文分析 STM32 单片机到底是如何实现软硬件结合的,接着分析单片机程序如何编译、运行。软硬件结合初学者,通常有一个困惑,就是为什么软件能控制硬件?就像当年的 51单 ...

关闭

站长推荐 上一条 /6 下一条