发 帖  
嵌入式学习-飞凌ElfBoard ELF 1板卡 - 应用层更改引脚复用的方法

在嵌入式系统设计中,引脚复用功能通常是通过设备树(Device Tree)预先配置设定的。出厂的设备树中UART2_TX_DATA和UART2_RX_DATA两个引脚被复用成了UART2功能,如果想要在不 ...

RK3568平台,进入烧录模式,部分电脑提示无法识别,无法烧录

RK3568进入了烧录模式,Win10,Win11的电脑提示无法识别的USB设备,无法烧录,我自己win7系统的一直可以识别;或者Win10烧录成功后,第二次就提示无法烧录了,请问可能是什 ...

知语云智能科技揭秘:无人机威胁如何破解?国家安全新防线!

随着科技的飞速发展,无人机技术已经深入到各个领域,给我们的生活带来了极大的便利。然而,与此同时,无人机也带来了潜在的安全威胁。知语云智能科技作为国内领先的智能科 ...

使用Arduino IDE 2.0开发ESP32摄像头模块

本帖最后由 jf_12640084 于 2024-2-7 17:36 编辑 Arduino IDE是创客常用的开发平台。通过安装扩展包,Arduino IDE可以支持不同架构的开发板,包括流行的ESP系列开发板。 ...

WiMinet 评说1.3:模拟式UDP中继技术缺陷

       在《WiMinet 评说 1.2:多跳无线网络的现状》一文中,我们提到:在室外长距离的无线自组织网络中,由于节点之间的链路损耗较大,其链路预算相 ...

CCS尝试实现TMS320C648仿真完成FFT时,debug报错缺少boot.c文件

`#include <stdio.h>#include <math.h>#include <mathlib.h>#include <dsplib.h>#include <string.h>#define PI      3.14159#define F_TOL&nb ...

毕设求助,移相全桥的大电流电源,什么芯片合适?

毕业设计,老板让我做一个移相全桥的大电流电源,一天忙得要死,根本没什么时间写代码o(╥﹏╥)o,老铁们有没有什么用得好的芯片推荐一下?有方案的话也可以推荐一下,需 ...

220V交流电磁铁驱动电路,求整流桥后电路原理。

220V,X,Y是220V交流电,然后负载是电磁线圈,接到DC24V_+和DC24V_GND,现在我搞不懂原理了,下面电路我只能分析出来可能是个运放电路或者比较器。*附件:2578引脚和三极管 ...

晶体管掺杂和导电离子问题原因分析

双极性晶体管是利用两种离子导电,空穴和自由电子,但是对于一个实际存在的系统,其整体上是呈现电中性的,当其中的电子或者空穴移动形成电流时,与之对应的空穴或者电子为 ...

对 PN结 的认识

 单向导电性的,是二极管,不是PN结! 真正令 PN结 导不了电的,关非 过不去,而是  离不开及进不来,交叉对流无障碍,背道而驰不允许,所以,当PN结成了集电结,单向 ...

有关飞轮储能的原理和技术

飞轮储能技术是一项新的储能技术,将富裕的电能转换成机械能储存起来,有几个问题不明白请教各位。1、在能量储存的过程中,电机将电网的能量是一个一个储存的,还是一起存 ...

错误解决

在非重入VI中无法进行递归调用。表示非重入VI无法将本身作为子VI调用。如需实现递归调用,周期中全部VI必须可重入并且至少存在一个动态分配或共享重入VI。 ...

PMOS作为高边开关,大电流关断时损坏的问题

PMOS高边开关控制电路如下图:输入侧使用15KW整流模块,输出侧固定8欧姆负载电阻。整流模块设置为40V/5A,模块空载情况下输出为100V/0A。此时PMOS可以正常开关,波形红色 ...

请问哪款电子电路设计软件能实现下面功能?

我在原理图上把电子元件放在图纸上并连好线,然后拖动电子元件的同时连线也跟随元件移动,元件改变位置或方向的时候,连线会自动调整位置和方向以及连线的长短,链接属性不 ...

【开源作品】基于树莓派4B、ESP32制作的桌面机器人、气象站、立方光!

开源项目作品秀为了方便大家更好提升自己,电子发烧友小编为大家整理了一些工程师大佬设计的开源项目作品,供大家可以参考学习,希望对广大工程师有所帮助。基于Arduin ...

5SA系列单输出超紧凑、薄型DC-DC转换器PICO

PICO的5SA系列单输出高压DC-DC转换器具备超小型封装模块。这种安全可靠的隔离装置具有安全与优良的负载控制特性,能够在-25°C至+70°C的温度条件下使用,无电气降级和/或 ...

要画好PCB,先学好信号完整性!

要画好PCB,先学好信号完整性!在电子设计领域,高性能设计有其独特挑战。1 高速设计的诞生近些年,日益增多的高频信号设计与稳步增加的电子系统性能紧密相连。随着系 ...

IGBT的短路过程分析

IGBT模块或者单管应用于变频器的制造,在做变频器的短路实验时,在IGBT开通时刻做出短路动作,IGBT的CE电压会从零逐渐升高到最大之然后回到母线电压的一半后达到稳定。但 ...

制冷剂的发展历程与发展趋势

一、制冷剂的发展历程在民用空调、工业制冷中,制冷剂作为制冷系统中不可或缺的工质,一直发挥着重要作用。从历史上看,制冷剂的发展可以分为四个阶段。(图片来源:网络 ...

如何利用PCIE在d2000开发平台和28dr上进行数据交互?

我现在的d2000和28dr已经是pcie物理互联了,我该怎么样去通过pcie来在这两个板子上进行数据的传输呢?

Labview程序实现数据分类

如图所示,该EXCEL中C列、E列是移心圆坐标,F列是需要处理数据。E列为重复坐标值,最终实现为下图所示判定要求可为E列,起始点为0,结束点为一条线最后一个点的值,求大 ...

变频器的电流源模式?

无意中看到的国产某变频器的一项功能,电流源模式,可以分别针对异步电机和同步电机的空载电流和额定电流按照设定输出设定的电流,请问这项功能的原理是什么?举例而言, ...

DSP,QX320F280049C,完整版使用手册,数据手册

32位双核CPU,主频150MHz支持FPU、VCU、TPUflash  1MBSRAM  500KB3个3MSPS,12位 ADC24个增强型ePWM16个高分辨率HRPWM(150PS)*附件:QX320F ...

【米尔-全志T113-i开发板试用】6、使用mDNS实现局域网域名访问

在局域网中,设备和设备之前相互通信是需要知道对方的ip地址的。大多数情况,设备的ip不是静态ip地址,而是通过dhcp 协议动态分配的ip 地址。而且IP地址也不好记,那我们是 ...

有没有人知道这个是哪家的二极管?

有没有人知道这个是哪家的二极管?

嵌入式学习-ElfBoard ELF 1板卡-4G模块相关的AT命令

打开串口回显:cat /dev/ttyUSB2 &查询SIM卡的状态:echo AT+CPIN? > /dev/ttyUSB2查询4G模块通信是否正常:echo AT > /dev/ttyUSB2查询信号强度和质量:echo AT+CSQ > ...

lcc-s无线充电拓扑结构实现发射端有补偿电路接收端无补偿电路恒压充电

lcc-s无线充电拓扑结构实现发射端有补偿电路接收端无补偿电路恒压充电的公式推导及电路设计

CY8CPROTO-063-BLE是否需要外部电源才能达到4dBm的功率?

现在我正在使用 带蓝牙的 CY8CPROTO-063-BLE 板。我使用两块板进行蓝牙通信,一块是发送器,另一块是接收器。根据 BLE 5 的数据表, 最大输出 Adv/Scan TX 功率电平和连接 ...

关闭

站长推荐 上一条 /6 下一条