发 帖  
  • 0

    我写了一个滤波器的程序,但是仿真的结果不符合,我找不到错误,希望你能抽空帮我看一下。 滤波器的系数为-12     9   -12   -22    -3    -1  & ...
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部